• 830.00 KB
  • 2022-04-29 14:34:30 发布

最新环境友好型杀重新基因挖掘和高效工程菌构建课件PPT.ppt

  • 30页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'环境友好型杀重新基因挖掘和高效工程菌构建 项目简介一、立项背景苏云金芽胞杆菌(Bt.)是目前应用最广的生物杀虫剂,但随着其应用量的不断扩大,寻找新的高效、广谱杀虫菌株并克隆cry新基因,成为Bt.杀虫剂亟待解决的持续发展问题,已引起各国科学家的高度重视。该项目就是寻找cry新基因,获得杀虫效价更高的基因,可以丰富cry基因资源库,以利构建工程菌,为Bt.生物农药的发展奠定更好的基础。 项目简介二、实施方案1从土壤中分离Bt新菌株2培养并筛选出毒力较高的菌株3筛选并鉴定菌株基因型4制备转基因工程菌并研究发酵条件 项目实施的进展情况及初步取得的创新成果S1-1S3-5S2-1S2-4S5-4S6-2130kDa——100kDa——25kDa——SDS-PAGE电泳图 下一步计划及主要措施生物测定:以纯培养基做对照进行毒力测定,并根据复筛结果,鉴定出毒力较高的Bt菌株进行第二次毒力测定(设置多个浓度梯度)。 下一步计划及主要措施Cry蛋白的鉴定:取菌体进行碱液裂解,然后取1/2菌悬液高速离心法提取蛋白,取1/2菌悬液采取等电点沉淀法提取Bt蛋白,采用Lowry法测定晶体蛋白质浓度,采用恒流的方式将得到的Bt蛋白进行SDS-PAGE考染10min,脱色后观察。再对其进行质谱鉴定分析,确定其核苷酸序列,以确定是否为新的毒素蛋白。 下一步计划及主要措施Cry基因的鉴定:采用SDS温和方法提取菌种质粒后,通过琼脂糖凝胶电泳检测其质粒带谱,预期将得到不同大小的质粒,并将其编号。通过序列同源分析找出cry基因的保守序列,设计出几对通用型引物,进行PCR扩增,然后用两种特异性内切酶消化扩增产物,经电泳分析,根据酶切片段的长度差异来确定菌株的基因型。 VCS使用2006.03.20 内容常用选项混合编译VCD/VCD+PLICoverage后仿真 常用选项vcs-ffilelist-RI-line+difine+WIDTH=5vcsdir/*.v+incdir+inc_dir-RI-line–Mupdate其它选项:vcs-help演示 DebugCLI(不熟,见VCS_S11_Unit_02.pdf)VirSim交互Debug(各种技巧见VCS_S11_Unit_03.pdf)(个人觉得比较好用的一个技巧:CustomRadixes) 混合编译一个SoC的makefile:VHDLANALYZER=vhdlan-nc+v2kVLOGANALYZER=vlogan-nc+v2kALL:ARBITERBRIDGEDMACINTCMEMCUARTGPIOTRNGMODELARBITER:${VLOGANALYZER}../Lib/ARBITER/*.v+incdir+../Lib/ARBITERBRIDGE:${VLOGANALYZER}../Lib/BRIDGE/*.v+incdir+../Lib/BRIDGEDMAC:${VLOGANALYZER}../Lib/DMAC/*.v+incdir+../Lib/DMAC/INTC:${VLOGANALYZER}../Lib/IntCtrl/*.v+incdir+../Lib/IntCtrlMEMC:${VLOGANALYZER}../Lib/MemCtrl/*.v+incdir+../Lib/MemCtrlUART:${VLOGANALYZER}../Lib/UART/*.v+incdir+../Lib/UARTGPIO:${VLOGANALYZER}../Lib/GPIO/*.v+incdir+../Lib/GPIOTRNG:${VLOGANALYZER}../Lib/TRNG/*.v+incdir+../Lib/TRNGMODEL:${VLOGANALYZER}../Model/Pll_a/*.v../Model/SDRAM/*.v../Model/ARTISAN_RAM/*.v../Model/TRNG_A/*.v../Model/DW/*.v+notimingcheckVCSSIM:vcs-nc-lmc-swift../Lib/ck520_4k_model.linux/*.v../System/*.v+incdir+../System-mhdl-RI+notimingcheck&(vlogan:编译,中间结果保存在VERILOG目录下;vcs:仿真) VirSim的两种运行方式交互模式(interactivemode)允许实时的控制仿真的进行,允许在模拟的过程中改变寄存器的值或者设置,这些改变会实时地影响到模拟的结果后处理模式(post-processingmode)先倒出用户指定选择的信号及其变化过程到一个文件中,这个文件是VCD+类型的。VCD+文件里面记录了VCS模拟的结果,和信号的变化历史等信息。然后可以用VirSim来分析这个文件(验证人员将波形保存,由设计人员查错;并行工作;后仿真) 保存波形两种波形文件VCD:ASCII文件VCD+:二进制文件VCD文件比较大(大概是VCD+的8倍),占用太多硬盘资源,但VCS在调用VCD文件时会自动转换成VCD+文件 VCD文件(1)准备工作:修改testbanchinitialbegin$dumpfile("div_wave.vcd");$dumplimit(4096);$dumpvars;//$dumpvars(0,div_tb)end(其他系统任务:$dumpoff$dumpon$dumpflush…见) VCD文件(2)生成VCD文件vcs*.v–RI查看VCD文件vcs–RPP*.v+vcdfile+div_wave.vcd(会自动生产div_wave.vcd.vpd文件) VCD+文件(1)准备工作:修改testbench文件initialbegin$vcdpluson(0,div_tb);$vcdplustraceon(div_tb);end(其它系统函数参见VCS_S11_Unit_04.pdf) VCD+文件(2)生成VCD+文件vcs*.v–RI+vpdfile+div_wave.vpd(若不加+vpdfile+…,默认产生vcdplus.vpd文件)查看VCD+文件Vcs*.v–RPP+vpdfile+div_wave.vpd PLI(1)连接C程序与VCS仿真器的接口用途:编写自己的系统函数在testbench中产生激励(动态指令发生器)Verilog模块与Cmodel联合仿真 PLI(2)3个文件.c文件:c函数.tab文件:将c函数映射为verilog中可调用的系统函数.v文件:调用.tab中的系统函数(实际上是调用其映射的c函数) PLI(3)C函数举例:------------------------------------------------------------------------------------------------------------------------------------------------------#include"vcsuser.h“voidmycall(){floata,b;intresult;a=(float)tf_getp(1);//a读入第一个参数b=(float)tf_getp(2);//b读入第二个参数result=(a/b)*8388608;//浮点除法tf_putp(3,result);//将结果存入第三个参数io_printf("a=%d,b=%d,result=%d",(int)a,(int)b,result);}voidabs(){inta,b;intc;a=tf_getp(1);//a读入第一个参数b=tf_getp(2);//b读入第二个参数c=(a-b>=0)?(a-b):(b-a);//c为a-b的绝对值tf_putp(3,c);//将绝对值存入第三个参数}--------------------------------------------------------------------------------------------------//注:tf_getp,tf_putp和io_print是pli的库函数 PLI(4)头文件与库函数两个头文件a、"acc_user.h“b、"vcsuser.h"pli库函数:io_printf----打印tf_nump----读取参数个数tf_typep----参数类型tf_getp-----取得参数的值tf_putp-----传回一个整型给调用的任务或函数(更多参见) PLI(5).tab文件--------------------------------------------------------------------------------------------------$dividercall=mycall$abscall=abs--------------------------------------------------------------------------------------------------注1:$divider是系统函数,verilog中可调用,mycall是映射的c函数注2:这里只用了最简单的写法,其实.tab还有很多复杂的参数可加,具体见vcs的帮助文档 PLI(6).v文件(testbench)中的调用------------------------------------------------------------TopU_div(.iClk(rClk),.iRst_n(rRst_n),.iX(rX),.iY(rY),.oQ(wQ)//硬件除法器得到的结果(3周期产生));always@(rXorrY)begina=rX;b=rY;$display("a=%d,b=%d",a,b);$divider(a,b,wResult);//c函数得到的结果(延迟3周期后与wQ比较)end------------------------------------------------------------ PLI(7)运行:vcs*.v-Ppli.tabpli.c–RI coverage4种coverage:Line//vcs–cmline…Condition//vcs–cmcond…Toggle//vcs–cmtgl…FSM//vcs–cmfsm…//vcs–cmline+cond+fsm结果存在simv.cm文件夹中,用cmView命令可以观察各种测试覆盖率 后仿真Testbench中添加:$sdf_annotate("../HARDCORE/mClkGen_eco.sdf",U_TOP.U_ClkGen,,"ClkGen.log");命令vcs*.v-RI+notimingcheck+maxdelays…'